Redian新闻
>
万能芯片之FPGA详解

万能芯片之FPGA详解

公众号新闻

集成电路芯片包括数字芯片和模拟芯片两大类,数字芯片可以分为存储器芯片和逻辑芯片,我们熟知的逻辑芯片一般包括CPU、GPU、DSP等通用处理器芯片,以及专用集成电路芯片ASIC。FPGA(现场可编程门阵列,)也是逻辑芯片的一种。

下载链接:

《FPGA五问五答系列合集》
1、FPGA五问五答系列一:FPGA提供了什么价值?
2、FPGA五问五答系列二:FPGA和CPU、GPU有什么区别?为什么越来越重要?

《56份GPU技术及白皮书汇总》


数字芯片的分类

什么是FPGA芯片

FPGA是在PAL(可编程逻辑阵列)、GAL(通用阵列逻辑)、CPLD(复杂可编程逻辑器件)等传统逻辑电路和门阵列的基础上进一步发展的产物。它利用计算机辅助设计,绘制出实现用户要求的原理图、编辑布尔方程或用硬件描述语言等方式作为设计输入;然后经一系列转换程序、自动布局布线、模拟仿真的过程;最后生成FPGA的数据文件,对FPGA器件初始化。这样就实现了满足用户要求的专用集成电路,真正达到了用户自行设计、自行研制和自行生产集成电路的目的。

FPGA与CPU、GPU、ASIC等芯片的核心区别是:其底层逻辑运算单元的连线和逻辑布局未固化。用户可通过EDA软件对逻辑单元和开关阵列编程,进行功能配置,从而去实现特定功能的集成电路芯片。而其他类别逻辑芯片,像ASIC、CPU和GPU等,物理底层逻辑单元的运算关系均已固定且不可变。简单地说,如果CPU、GPU、ASIC等是像建好的楼房,楼房中房间、走廊及楼梯等格局是已经固定了;而FPGA的内部类似霍格沃兹中的魔法楼梯,可以随时改变房间到房间的路线关系。

FPGA由可编程逻辑块(CLB)、输入/输出模块(IOB)、可编程互连资源(PIR)等三种可编程电路和用于存放编程数据的静态存储器SRAM组成。CLB是实现逻辑功能的基本单元,它们通常规则排列成一个阵列,散布于整个芯片中。IOB主要完成芯片上的逻辑与外部引脚的接口,通常排列在芯片的四周。PIR提供了丰富的连线资源,包括纵横网状连线、可编程开关矩阵和可编程连接点等,它们将各个CLB之间、CLB与IOB之间以及IOB之间连接起来,构成特定功能的电路。静态存储器SRAM用于存放内部IOB、CLB和PIR的编程数据,并形成对IOB、CLB及PIR的控制,从而完成系统逻辑功能。


FPGA的基本结构

由于FPGA需要被反复烧写,它实现的组合逻辑基本结构无法通过固定的与非门来完成,而只能采用一种易于反复配置的结构。查找表(Look-Up-Table,简称为LUT)可以很好地满足这一要求。

LUT实质上是一个RAM,当用户描述了一个逻辑电路后,软件会计算所有可能的结果,并写入RAM。每一个信号进行逻辑运算,就等于输入一个地址进行查表,找出地址对应的内容,输出结果。这样也大大加快了FPGA的运算速度。目前主流FPGA都采用了基于SRAM工艺的查找表结构,也有一些FPGA采用Flash或反熔丝工艺的查找表结构。

1、概要

FPGA中文名是现场可编程门阵列,是指一切通过软件手段更改、配置期间内部连接结构和逻辑单元,完成既定设计功能的数字集成电路。
FPGA属于逻辑芯片,区别于其他逻辑芯片,FPGA最大的特点便是现场可编程性,这个特点,可以使FPGA通过编程实现任意芯片的逻辑功能,例如ASIC、DSP甚至PC处理器等,这也是为什么FPGA被成为“万能芯片”的原因。
举个简单的例子,逻辑芯片中,例如ASIC和DSP等于一张出厂时就写有数据且不可擦除的CD,用户只需要放在CD播放器就可以听到音乐;而FPGA使一张出厂时空白的CD,需要用户自己使用刻录机烧写数据内容到盘里,并且还可以擦除上面的数据,反复刻录。
2、FPGA的特点
FPGA有三大特点,其中一个便是上面所讲的可编程灵活性高,另外两个特点是开发周期短和并行计算效率高。
(1)开发周期短
在逻辑芯片里面,如ASIC制造流程包括逻辑实现、布线处理和流片等多个步骤;而FPGA无需布线、掩膜和定制流片等,芯片开发大大简化。一般逻辑芯片,如ASIC、DSP、SOC等,开发周期需要14-24个月,甚至更长,而FPGA则只需要6-12个月,比其他芯片开发周期减少55%的时间。
表:逻辑芯片开发周期
类型
FPGA
ASIC
DSP
SOC
名称
现场可编程门阵列
专用集成电路
数字信号处理技术
系统级芯片
开发周期
6-12个月
14-24个月
14-24个月
14-24个月或更长
正如全球FPGA第一大厂商Xilinx认为,更快比更便宜重要,产品晚上市6个月,5年内将减少33%的利润,每晚四周等于损失14%的市场份额。
(2)并行计算效率高
FPGA属于并行计算,也即一次可执行多个指令算法。而传统的ASIC、DSP、CPU都是串行计算,一次只能处理一个指令集。因此在部分特殊任务中,FPGA的并行计算效率比串行计算效率更高。
表:四类主流芯片对比
3、应用场景
FPGA由于具备可编程灵活性高、开发周期短以及并行计算效率高的特点,使得FPGA的应用场景特别地广泛。
FPGA可应用于包括网络通信、消费电子、数据中心、汽车电子、人工智能等领域。在国内FPGA主要应用于通讯领域和工业领域,2020年通讯领域和工业领域占FPGA使用量的41.3%和31.5%。
图:2020年中国FPGA下游应用分布
根据Frost&Sullivan数据,中国FPGA市场2020年的市场规模约150.3亿元,预计2025年中国FPGA市场规模将达到332.2亿元,复合增速为17.2%。
图:2016-2025年中国FPGA市场规模预测
(1)通讯领域
通讯领域是FPGA芯片的主要应用市场之一,Frost&Sullivan数据显示2020年应用于该领域的FPGA芯片中国销售额将达到62.1亿元,占中国FPGA芯片市场份额的41.3%,2021年至2025年年复合增长率将达17.5%。
图:中国FPGA通讯领域市场规模及预测
FPGA芯片目前被大量应用在无线通信和有限通信设备中,实现接口扩展、逻辑控制、数据处理、单芯片系统等各种功能。
在有线通信领域,FPGA芯片被应用于数据接入、传送、路由器、交换机的多种电路板中,以实现信号控制、传输加速等各种功能。
在无线通信领域,FPGA芯片被应用在无线通信基站和射频处理单元的多种电路板中以实现通信协议的各种功能和未来升级需求,集成CPU的现场可编程系统级芯片产品被应用在室外微基站、室内微基站等无线网络通信中,以单芯片完成商业、住宅、工厂区域的多模覆盖、网络容量增加、人工智能计算等多样性功能需求。
在网络通信领域,FPGA芯片得到大规模运用主要是由于其具有高度的灵活性、极强的实时处理和并行处理能力,大大加强了通信设备的处理能力。
(2)工业领域
工业领域是FPGA芯片的主要应用市场之一,Frost&Sullivan数据显示2020年应用于该领域的FPGA芯片中国销售额将达到47.4亿元,占中国FPGA芯片市场份额的31.5%,2021年至2025年年复合增长率将达16.1%。
图:中国FPGA工业领域市场规模及预测
FPGA在工业领域主要应用于视频处理、图像处理、数控机床等领域实现信号控制和运算加速功能。随着智能化与自动化技术的发展,工业领域也正逐渐从以人力资源微核心要素转向以自动化微核心要素的智能化无人工厂。
受益于工业智能化、无人化的发展趋势,FPGA芯片高效能、实时性、高灵活性的特点使其在工业领域得到了广泛应用,以数控机床的伺服系统为例,相较传统的只能控制单一马达的专用芯片,FPGA芯片可以做到多通道的马达控制。
(3)数据中心
数据中心是FPGA芯片的新兴应用市场之一,Frost&Sullivan数据显示2020年应用于该领域的FPGA芯片中国销售额将达到16.1亿元,占中国FPGA芯片市场份额的10.7%,2021年至2025年年复合增长率将达16.6%。
图:中国FPGA数据中心市场规模及预测
数据来源:安路科技招股书
数据中心是全球协作的特定设备网络,用来在网络基础设施上传递、加速、展示、计算、存储数据信息。服务器和存储器作为数据中心的通用基础设备,为了应对复杂多变的应用情景,需要FPGA芯片实现逻辑控制、数据转换、功能扩展、系统升级等功能。
在数据中心运算处理领域,相比于CPU,FPGA芯片由于其无指令、无需共享内存的体系结构,能够同时提供强大的计算能力和足够的灵活性;相比GPU,FPGA芯片在数据中心具有低延迟及高吞吐的优势;相比ASIC,FPGA芯片在性能、灵活性、同构性、成本和功耗等五个方面可以达到出色的平衡。
FPGA芯片在数据中心领域主要用于硬件加速,数据中心使用FPGA芯片代替传统的CPU方案后,处理其自定义算法时可实现显著的加速效果。因此从2016年开始,微软Azure、亚马逊AWS、阿里云的服务器上都开始部署FPGA加速器用于运算加速。在云计算大面积应用的背景下,未来数据中心对芯片性能的要求将进一步提高,更多数据中心将采纳FPGA芯片方案,这将提高FPGA芯片在数据中心芯片中的价值占比。 
(4)汽车电子
汽车的智能化打开了FPGA增量空间,Frost&Sullivan数据显示2020年应用于该领域的FPGA芯片中国销售额将达到9.5亿元,2021年至2025年年复合增长率将达22.7%。
图:中国FPGA汽车电子市场规模及预测
在汽车智能化发展的趋势下,FPGA可用于控制和驱动电动汽车电机控制系统,连接驾驶系统、仪表盘、雷达、超声波传感器等各种车载设备,实现激光雷达、毫米波雷达等信号处理和控制。
在视频桥接和融合领域,FPGA可用于实现多个图像传感器的信号桥接、3D环视视频融合、倒车辅助视频、辅助驾驶视频等功能。
在辅助驾驶和自动驾驶领域,FPGA可用于实现机器视觉与目标检测等各种功能。相比较其他通用芯片方案,FPGA在辅助驾驶的视频分析功能中可采用超低延时精确算法对来自车辆摄像机的实时视频输入信号进行分析,及时做出判断,并且FOGA可以在不进行重新设计的前提下实现重新编程,以适应不断发展的算法,从而缩短整体方案的开发周期。
(5)人工智能
Frost&Sullivan数据显示2020年应用于该领域的FPGA芯片中国销售额将达到5.8亿元,2021年至2025年年复合增长率将达16.9%。
图:中国FPGA人工智能市场规模及预测
人工智能算法芯片实现分为云侧处理和端侧处理。在云侧处理时,和GPU及ASIC芯片相比,FPGA芯片内在并行处理单元达到百万级,可以做到真正并行运算,其可编程性又可实现灵活搭建数据处理流水线,因此运算速度快,数据访问延迟低,较为适合人工智能的实时决策需求。
在端侧处理领域,FPGA芯片可实现快速推断决策,另外其具有的现场可编程、可实现定制功能、高吞吐量和低延迟等特点有效地满足了用户对各种神经网络设计的要求,成为适配各种经过压缩优化的神经网络部署和升级的理想选择。
4、国外巨头主导FPGA市场,国内替代中低端市场
FPGA芯片国外起步较早,技术积累深厚,高度垄断市场。根据Frost&Sullivan的统计数据,以出货量为口径,2019年中国FPGA芯片市场有超80%的份额被外商占据,前三名供应商为赛灵思、英特尔和莱蒂斯,出货量占比36.6%、25.3%和23.2%,国产厂商安路科技排在第四位,占比仅6%。若以销售额口径统计,市场呈现双寡头形式,2019年赛灵思和英特尔两家的合计占有率达91.1%,安路科技排名第四,占比0.9%,在国产厂商中排名第一。
图:2019年中国FPGA芯片市场竞争格局(颗粒)
图:2019年中国FPGA芯片市场竞争格局(销售额)
目前国内有安路科技、紫光同创、复旦微电、高云半导体、京微齐力等厂商进入FPGA行业,近年来由于美国对中国半导体的限制日益趋严,FPGA国产替代迫切需求叠加国产FPGA厂商产品快速迭代和品类扩张,目前面向500K以下容量和非先进制程市场,国内厂商已逐步实现国产替代。
100K以下和100K-500K逻辑容量的FPGA需求量大,是国内FPGA龙头厂商国产替代的主要市场。根据Frost&Sullivan的数据,2019年100K以下逻辑容量的FPGA芯片中国市场份额为38.2%,100K-500K逻辑容量的FPGA芯片市场份额为31.7%,500K-1KK和>1KK分别占比24.4%和5.7%。从逻辑容量来看,100K以下和100K-500K逻辑容量的FPGA芯片是中国市场需求量最大的部分,国内厂商安路科技和紫光同创目前均已覆盖,是FPGA国产替代的主要市场。
图:2019年中国各逻辑容量FPGA市场份额情况
28nm以上制程占大部分市场份额,是FPGA国产替代的重要方向。从工艺制程来看,由于28nm-90nm制程FPGA在性价比和良品率方面具有优势,占据了主要的市场地位。根据Frost&Sullivan的数据,2019年28nm-90nm制程的FPGA占中国市场份额为63.3%,28nm以下制程的FPGA芯片占据20.9%的市场份额,>90nm制程的FPGA占比15.8%。由于中国在先进制程制造方面受到限制,28nm以上制程也是FPGA国产替代的重要方向。
图:2019年中国各工业制程FPGA市场份额情况
5、总结
FPGA又被成为“万能芯片“,可以应用于多个行业中,根据Frost&Sullivan数据,中国FPGA市场2020年的市场规模约150.3亿元,预计2025年中国FPGA市场规模将达到332.2亿元,复合增速为17.2%。
目前国内FPGA市场仍被国外巨头垄断,但在500K以下容量和非先进制程占主要市场份额的中国来说,目前国内厂商的产品已逐步覆盖到这些领域,国内厂商获得了较好的发展机遇。
转自:e-works,红林财富

下载链接:

《FPGA五问五答系列合集》
1、FPGA五问五答系列一:FPGA提供了什么价值?
2、FPGA五问五答系列二:FPGA和CPU、GPU有什么区别?为什么越来越重要?
AI模型乘风起,GPU掌舵算力大时代(2023)
ChatGPT有望给半导体行业带来显著增量
AIGC大时代,光模块行业迎风启航
AutoGPT:未来的个人全能AI助手

《集成电路及芯片知识汇总(1)》

《集成电路及芯片知识汇总(2)》
218份重磅ChatGPT专业报告

多模态AI研究框架(2023)

大模型算力需求驱动AI服务器行业高景气(2023)

“机器人+” 系列:机器人研究框架(2023)

《70+篇半导体行业“研究框架”合集》
《人工智能AI大模型技术合集》

阿里通义千问重磅发布,生态入口优势有望落地全域智能

《56份GPU技术及白皮书汇总》


推荐阅读:

云计算通用可编程DPU发展白皮书(2023年)

《42份智能网卡和DPU合集》

165份重磅ChatGPT专业报告

高性能计算技术、方案和行业全解(第二版)

InfiniBand架构和技术实战总结(第二版)

RDMA原理分析、对比和技术实现解析


或者获取全店资料打包,后续免费获取全店所有新增和更新。


全店铺技术资料打包(全)



转载申明:转载本号文章请注明作者来源,本号发布文章若存在版权等问题,请留言联系处理,谢谢。

推荐阅读
更多架构相关技术知识总结请参考“架构师全店铺技术资料打包”相关电子书(37本技术资料打包汇总详情可通过“阅读原文”获取)。
全店内容持续更新,现下单“架构师技术全店资料打包汇总(全)”,后续可享全店内容更新“免费”赠阅,价格仅收198元(原总价350元)。


温馨提示:
扫描二维码关注公众号,点击小程序链接获取架构师技术联盟书店电子书资料详情

微信扫码关注该文公众号作者

戳这里提交新闻线索和高质量文章给我们。
相关阅读
特斯拉超算芯片,可超越GPGPU?预算和预售 | 拍片之前(Ⅳ)飞檐走壁的邻家小哥我家这套厨房料理盆太万能,只占1个盆的地方(明10点返团)任务调度系统就该这么设计(万能通用),稳的一批!30个万能过渡句,让你的口语更高级(附例句)全程窒息!新千年后最出色的恐怖惊悚片之一SpringBoot 我随手封装了一个万能的导出excel工具,传什么都能导出拍摄对象、采访、音乐和剧本 | 拍片之前(Ⅲ)提案、调研、影片构思及确定目标观众 | 拍片之前 (Ⅱ)通过Unit Scaling进行简单的FP16和FP8训练为卡特总统祈祷通信芯片公司「芯迈微半导体」完成Pre-A+轮融资,5G芯片Q3流片,4G芯片Q4量产|36氪首发硬核观察 #1032 伊朗把 FPGA 开发板“变成了”量子设备OPPO受挫!芯片之路,到底有多难?不是谁都玩得起的!光芯片FPGA,迫切需要三月不减肥,四月徒伤悲…蔬菜&沙拉酱万能搭配公式来啦!纪录片的分类、工作团队及一些小问题 | 拍片之前(Ⅰ)工作还没找到?!毕业换居留怎么办??!万能菌菌给大家带来完美解决方案!成功率超高!!太厉害了!DK与清华社联手「科普+应试+素养」的万能宝典,真的是为中学生量身打造...Xilinx芯片太贵,我选择国产替代!聊聊国产FPGA芯片选型万能通用的Java调优方案合集,yyds!AMD,加大投资FPGA当英伟达市值站上芯片之巅……传说中的万能题库分享:刷完这套题,就没有进不去的大厂!自研芯片之后,AWS省了多少钱?张忠谋的这66条商业思考,解释了台积电为什么能成为全球“芯片之王”?爱上一个人需要多少时间?5大类英语写作实用万能句型,总有一个用得上,赶快收藏!歌剧《野火春风斗古城》选段ChatGPT 角色扮演万能小话术血糖控制和室外保暖文本和图片之后,AIGC的下个前沿将是视频生成【资讯】工作还没找到?!毕业换居留怎么办??!万能菌菌给大家带来完美解决方案!成功率超高!!张忠谋这 66 条商业思考,解释了台积电为什么能成为全球“芯片之王”
logo
联系我们隐私协议©2024 redian.news
Redian新闻
Redian.news刊载任何文章,不代表同意其说法或描述,仅为提供更多信息,也不构成任何建议。文章信息的合法性及真实性由其作者负责,与Redian.news及其运营公司无关。欢迎投稿,如发现稿件侵权,或作者不愿在本网发表文章,请版权拥有者通知本网处理。