Redian新闻
>
源码免费下载!ARM与FPGA高速通信方案

源码免费下载!ARM与FPGA高速通信方案

公众号新闻
前 言
近年来,随着中国新基建、中国制造2025的持续推进,单ARM处理器越来越难胜任工业现场的功能要求,特别是能源电力、工业控制、智慧医疗等行业通常需要ARM+FPGA架构的处理器平台来实现特定的功能,例如多路/高速AD采集、多路网口、多路串口、多路/高速并行DI/DO、高速数据并行处理等。

那ARM+FPGA架构有什么优势?
ARM:接口资源丰富、功耗低,擅长多媒体显示、逻辑控制等。
FPGA:擅长多通道或高速AD采集、接口拓展、高速信号传输、高速数据并行处理等。

因此,ARM+FPGA架构能带来性能、成本、功耗等综合比较优势,ARM与FPGA既可各司其职,各自发挥原本架构的独特优势,亦可相互协作处理更复杂的问题。

对于成本不敏感且通信速率要求的较高分立式ARM+FPGA场合,一般使用PCIe通信接口。但对成本敏感的分立式ARM+FPGA场合,PCIe通信接口则令FPGA芯片成本高居不下。

对于能源电力、工业控制等众多工业领域,真正需要的是性能与成本均具有竞争力的方案,既要求能做到ARM与FPGA的高速通信,又要做到成本最优,并且最好能基于国产方案。

在这种需求背景下,创龙科技提供了基于国产ARM与低成本FPGA高速通信的3种方案。

* 硬件平台介绍(全志科技T3/A40i)

创龙科技TLT3-EVM/TLA40i-EVM是一款基于全志科技T3/A40i处理器设计的4核ARM Cortex-A7国产工业评估板,每核主频高达1.2GHz,由核心板和评估底板组成。T3与A40i两者pin to pin兼容。

评估板接口资源丰富,引出双路网口、双路CAN、双路USB、双路RS485等通信接口,板载Bluetooth、WIFI、4G(选配)模块,同时引出MIPI LCD、LVDS LCD、TFT LCD、HDMI OUT、CVBS OUT、CAMERA、LINE IN、H/P OUT等音视频多媒体接口,支持双屏异显、Mali400 MP2 GPU,1080P@45fps H.264视频硬件编码、1080P@60fps H.264视频硬件解码,并支持SATA大容量存储接口。

核心板采用100%国产元器件方案,并经过专业的PCB Layout和高低温测试验证,稳定可靠,可满足各种工业应用环境。评估底板大部分元器件均采用国产方案,方便用户快速进行产品方案评估与技术预研。

    

*官方商城选购入口:https://tronlong.tmall.com

本文主要介绍全志科技T3/A40i与紫光同创PGL25G/Xilinx Spartan-6基于SPI、SDIO、CSI的3种高速通信方案,最高通信速率可达到55.1MB/s。


为了简化描述,正文仅摘录方案功能描述与测试结果,详细开发文档(案例源码)请长按或微信扫描二维码下载。


备注:目前,创龙科技已推出T3/A40i+PGL25G全国产一体化工业核心板方案,国产化率100%(连接器亦为国产),需要的请自行咨询,文末有联系方式。

图 3  T3/A40i+PGL25G全国产工业核心板
(国产化率100%)


1 spi_rw案例


1.1 案例说明

案例功能:主要演示T3/A40i(ARM Cortex-A7)与PGL25G/Spartan-6(FPGA)处理器之间的SPI通信。

ARM端实现SPI Master功能
a.打开SPI设备节点,如:/dev/spidev0.0。
b.使用ioctl配置SPI总线,如SPI总线极性和相位、通信速率、数据字长度等。
c.选择模式为单线模式或双线模式。当SPI总线为双线模式时,发送数据是单线模式,接收数据是双线模式。
d.发送数据至SPI总线,并从SPI总线读取数据。(备注:如单次传输数据大于64Byte,驱动程序将会自动启用DMA传输功能。)
e.打印发送和接收速率。
f.校验读写数据,然后打印误码率。

FPGA端实现SPI Slave功能
a.FPGA将SPI Master发送的2KByte数据保存至BRAM。
b.SPI Master发起读数据时,FPGA从BRAM读取2KByte通过SPI总线传输给SPI Master。
c.当SPI总线为双线模式,接收数据支持双线模式,而发送数据不支持双线模式。

图 4 ARM端程序流程图

测试结果
(1) SPI单线模式
根据官方数据手册,SPI总线通信时钟频率理论值最大为100MHz。本次测试指定SPI总线通信时钟频率为最大值100MHz,则SPI单线模式理论速率为:(100000000/1024/1024/8)MB/s ≈ 11.92MB/s。实测SPI单线模式写速率为:10.924MB/s,SPI单线模式读速率为:10.924MB/s。

(2) SPI双线模式
根据官方数据手册,SPI总线通信时钟频率理论值最大为100MHz。本次测试指定SPI总线通信时钟频率为最大值100MHz,则SPI单线模式理论速率为:(100000000/1024/1024/8)MB/s ≈ 11.92MB/s;则SPI双线模式理论速率为:(100000000/1024/1024/4)MB/s ≈ 23.84MB/s。本次实测SPI单线模式写速率为11.631MB/s,SPI双线模式读速率为17.807MB/s。

2 rt_spi_rw案例

2.1 案例说明
案例功能:基于Linux-RT系统,演示T3/A40i(ARM Cortex-A7)与PGL25G/Spartan-6(FPGA)处理器之间的SPI通信(单线模式)。

ARM端实现SPI Master功能
a.打开SPI设备节点。如:/dev/spidev0.0。
b.使用ioctl配置SPI总线。如SPI总线极性和相位、通信速率、数据字长度等。
c.创建实时线程。
d.发送数据至SPI总线,以及从SPI总线读取数据。
e.打印发送、接收的速率和传输耗时。
f.校验读写数据,然后打印误码率。

FPGA端实现SPI Slave功能
a.FPGA将SPI Master发送的2KByte数据保存至BRAM。
b.SPI Master发起读数据时,FPGA从BRAM读取2KByte通过SPI总线传输给SPI Master。

图 5 ARM端程序流程图

测试结果
(1) 非轮询方式 
根据官方数据手册可知,SPI总线通信时钟频率理论值最大为100MHz。本次测试指定SPI总线通信时钟频率为最大值100MHz,则理论速率为:(100000000/1024/1024/8)MB/s ≈ 11.92MB/s。实测传输4Byte数据的最小耗时为49us,最大耗时为662us,平均耗时为227us;写速率为0.017MB/s,读速率为0.017MB/s。

(2) 轮询方式 
根据官方数据手册可知,SPI总线通信时钟频率理论值最大为100MHz。本次测试指定SPI总线通信时钟频率为最大值100MHz,则理论速率为:(100000000/1024/1024/8)MB/s ≈ 11.92MB/s。实测传输4Byte数据的最小耗时为14us,最大耗时为59us,平均耗时为14us;写速率为0.239MB/s,读速率为0.239MB/s。

3 sdio_test案例

3.1 案例说明
案例功能:演示T3/A40i(ARM Cortex-A7)与PGL25G/Spartan-6(FPGA)处理器之间的SDIO通信。

ARM端实现SDIO Master功能
a.打开SDIO设备节点,如:/dev/generic_sdio0。
b.发送数据至SDIO总线,以及从SDIO总线读取数据。
c.打印发送和接收速率。
d.校验读写数据,然后打印误码率。

FPGA端实现SDIO Slave功能
a.FPGA将SDIO Master发送的2KByte数据保存至BRAM。
b.SDIO Master发起读数据时,FPGA从BRAM读取2KByte通过SDIO总线传输给SDIO Master。

图 6 ARM端程序流程图

测试结果
本次测试指定SDIO总线通信时钟频率为25MHz(最高50MHz),则理论通信速率为:(25 x 4 / 8)MB/s = 12.5MB/s。实测写速率为5.113MB/s,读速率为5.440MB/s,误码率为0.0%。

4 csi_test案例

4.1 案例说明
案例功能:演示T3/A40i(ARM Cortex-A7)与PGL25G/Spartan-6(FPGA)处理器之间的CSI通信案例。

ARM端功能
a)基于Linux子系统V4L2。
b)通过CSI总线,采集指定帧数数据。
c)计算总耗时。
d)打印平均采集速率,并校验最后一帧图像的数据。

FPGA端功能
a)将测试数据(0x00 ~ 0xFF)写入FIFO。
b)从FIFO读出数据,按行与帧的方式、1024 x 512的分辨率,通过CSI总线发送至ARM端。
使用的CSI总线为CSI0,最高支持分辨率为1080P30,数据位宽为8bit。功能框图与程序流程图,如下图所示。


图 7 功能框图

图 8 ARM端程序流程图

测试结果
FPGA端将CSI_PCLK设置为65MHz,测试数据写入FIFO的时钟FIFO_WR_CLK设置为59MHz。由于FPGA端需将数据写入FIFO再从FIFO读出后发送,每一行与每一帧之间的间隔时间会受FIFO写入的速率影响,因此CSI通信的实际理论传输带宽应为:(59MHz x 8bit / 8)MB/s = 59MB/s。实测传输速率为55.1MB/s,误码率为0.0%。
查看更多详细案例说明/测试方法、案例源码
请长按或微信扫描二维码下载




此外,近期创龙科技还举办了免费赠送TI C66x多核DSP书籍活动,限量100本,快来火速参与吧!


本次免费赠送书籍为上海交通大学牛津海博士所著的:
《TMS320C66x KeyStone架构多核DSP入门与实例精解》
《TI C66x多核软件开发(MCSDK)技术——基于CCS V5 SYS/BIOS的高级应用与实例精解》

免费赠送抽奖步骤

1、关注公众号
关注创龙科技微信公众号“Tronlong创龙科技”。

2、回复关键词
10月26日10:00~31日10:00期间,在公众号界面回复关键词“创龙福利免费书籍”。弹出消息即表示成功参与抽奖,然后等待2022年10月31日10:00准时开奖!(期间请勿取关,影响后续推送中奖、兑奖等)

3、等待开奖
10月31日10:00开奖后,系统将会发送中奖通知。请获奖粉丝在11月1日24:00前填写收件信息。中奖的客户即可免费获得TI C66x多核DSP书籍一本,并且包邮!仅限100本!!!
特别注意事项:
● 活动仅限嵌入式工程师参与;
● 活动每人仅限参与一次,无效手机号、一人多号等将取消获奖资格;
● 中奖通知转发无效;
● 中奖粉丝请在11月1日24:00前填写收件信息,逾期将视为放弃领奖资格;
● 两本书籍随机赠送,不可指定;
● 礼品将于11月4日前统一寄送。
本次活动最终解释权归广州创龙电子科技有限公司所有。


 


官方合作伙伴

主营业务

产品线


欢迎与Tronlong联系:
销售邮箱:[email protected]
技术邮箱:[email protected]
创龙总机:020-8998-6280
技术热线:020-3893-9734
创龙官网:www.tronlong.com
技术论坛:www.51ele.net
官方商城:https://tronlong.tmall.com


       
在看点这里


微信扫码关注该文公众号作者

戳这里提交新闻线索和高质量文章给我们。
相关阅读
深入学习贯彻党的二十大精神 推动信息通信业高质量发展——工业和信息化部召开全国通信管理局负责同志座谈会罕见!俄军苏-30SM与苏-25战机同时被击落,乌方多视角曝光2022 英特尔® FPGA中国技术周重磅来袭!注册参会有惊喜!《女神异闻录5:皇家版》PC评分解禁!神海合集开启预载!《遗忘之城》新史低!币安将完全收购 FTX,BNB与FTT齐涨时隔七年的填坑之作:《机器学习数学》书稿PDF免费下载了寻找”猫主“的启事深刻理解 | 以通信方式共享内存,不要以共享内存方式通信快下载!这款 App可预警地震到来!西海岸均适用!详解FPGA/CPLD架构与原理取信方略二十条惊爆:肉毒素不要钱!让肌肤乖乖不捣蛋!换季!干燥!敏敏皮!与Fillmed一起邂逅极致焕颜之旅!三十余载!他是扎根长江畔的新时代北大考古人F1墨西哥大奖赛FP1&FP2:拉塞尔全天最快,勒克莱尔撞墙IEEE通信行业快报——“手机卫星通信”技术什么!FRM与CFA知识点,有90%重合!?【夏日风情】07,火车纵横米粒间和海军学院的新兵蛋子F1美国大奖赛FP1&FP2:法拉利车手暂时领先,2023轮胎测试完成官宣 | 恭喜!北美华人英文学习交流群正式开放!扫码免费进国产FPGA再迎新品,安路科技“凤凰”、“精灵”双箭齐发可下载!可研究!可回测!大厂裁员的求生之路与Fintech的致命救赎歼-20与F-35终交手巴黎凡尔赛游记 (三)深刻理解 | 以通信方式共享内存,不要以共享内存方式通信恭喜:全国《易经》交流群正式开放!扫码免费进,手慢无!2022全球RPG手游报告:RPG品类占手游总收入27%,连续6年第1EA与FIFA闹掰后,FIFA23首周玩家1030万创纪录,FIFA转投Roblox合作2022 英特尔® FPGA中国技术周重磅来袭!开放注册!36氪为FPGA初创企业拓宽「芯」边界唐山打人者果然是保护伞下的伞兵“窥探天机”二十载!科技之巅·《麻省理工科技评论》“十大突破性技术”二十周年主题峰会3天后盛大开幕跟传教老外学英语可免费下载 |《储能系统的未来》摘译
logo
联系我们隐私协议©2024 redian.news
Redian新闻
Redian.news刊载任何文章,不代表同意其说法或描述,仅为提供更多信息,也不构成任何建议。文章信息的合法性及真实性由其作者负责,与Redian.news及其运营公司无关。欢迎投稿,如发现稿件侵权,或作者不愿在本网发表文章,请版权拥有者通知本网处理。