Redian新闻
>
ASML科普,EUV光刻机的奇迹之路

ASML科普,EUV光刻机的奇迹之路

公众号新闻

来源:内容由半导体行业观察(ID:icbank)编译自ASML,作者Sander Hofman,谢谢。

EUV 的故事始于 1980 年代中期的日本,当时,在 70 年代俄罗斯完成的多层镜研究的基础上,Hiroo Kinoshita 投影了第一张 EUV 图像。美国和荷兰的实验室很快也开始探索这一潜在的光刻技术新发展。最初被称为“soft x-ray”光刻,“extreme ultraviolet”这个名称的灵感来自天文学家对相同光波长和光子能量使用的术语。


在光刻技术中,使用较短的光波长使芯片制造商能够缩小尺寸并增加芯片上特征(或晶体管)的密度,从而使芯片更快、更强大。当 ASML 于 1984 年成立时,该行业使用产生 436 纳米 (nm) 光的汞蒸气灯,称为 g-line,后来,产生了 365 nm 的紫外 (UV) 光,称为 i-line。早期的 EUV 研究人员追求从 4 到 40 的几个波长,但最终选择了 13.5 作为锡等离子体产生 EUV 光的最佳点。


EUV 并不是研究人员探索的唯一能够实现未来几代“微缩”的技术。电子束光刻(Electron beam lithography)和离子束光刻(ion beam lithography )似乎是其他可行的选择,但 ASML 对 EUV 光刻下了“有根据的赌注”,因为这种技术似乎最适合继续晶体管微缩,同时在大规模生产中仍能负担得起。


然而,并不是每个人都立即被 EUV 技术的想法所吸引。在2020 年 SPIE 会议回顾展上,时任 NTT 研究员的 Hiroo Kinoshita 描述了让他的科学家同事相信 EUV 光刻有机会的挑战。“[我在 1986 年的日本应用光学学会年会上展示了我的结果,”他说。“不幸的是,听众对我的演讲高度怀疑。然而,我的信念并没有改变。”


长期从事半导体行业的资深人士、当时的劳伦斯利弗莫尔国家实验室研究员 Andrew Hawryluk 在会议上回忆了类似的挫败感。他解释了 1987 年 12 月,一位教授如何拜访他和他的团队。在了解了他们在 EUV 方面的开创性研究后,教授问道:“但你真的可以用这些东西做任何有用的事情吗?”


“他的话一直困扰着我,”Andrew说,他回家过圣诞假期,两周后带着一份 30 页的 EUV 光刻白皮书回来。他和他的团队在那年晚些时候的一次会议上提交了这篇论文,但即便如此,也很难找到支持。“你无法想象我在那次演讲中得到的负面评价,”Andrew回忆道。“观众中的每个人都想刺穿我。我把尾巴夹在两腿之间回家了,发誓再也不谈论 EUV 光刻了。”


但一周后,Andrew接到了来自贝尔实验室的William (Bill) Brinkman的电话,后者随后成功地让美国能源部为劳伦斯利弗莫尔和桑迪亚实验室的 EUV 光刻研究国家项目提供资金,后来被称为“虚拟国家实验室”。


走向工业化


“Bill给了我们钱,但钱迟早会用完,”Andrew说。“关键的是让行业参与支持这项新技术。”


Andrew的老板,已故的Natale (Nat) Ceglio,在招募包括英特尔在内的公司采用 EUV 方面发挥了重要作用。


参与的美国芯片制造商组成了“EUV LLC”,与虚拟国家实验室签订合同,以加速 EUV 光刻技术的开发并降低与新技术工业化相关的风险(EUV 光刻技术,Wurm,Stefan,Gwyn 和 Chuck,2008 年)。


ASML 的原型 EUV 系统之一,或“alpha 演示”工具。


与此同时,在荷兰,EUV 光学教授 Fred Bijkerk 于 1990 年在荷兰投影了第一张 EUV 图像。然而,直到 1990 年代后期,EUV 光刻的工业化研究才在欧洲开始。1997 年,ASML 聘请了 Jos Benschop(技术高级副总裁)来启动其EUV 计划。


在 Jos 的帮助下,1998 年,ASML 与其长期合作伙伴德国光学制造商蔡司和同步加速器光源供应商牛津仪器公司成立了一个名为“EUCLIDES”(Extreme UV Concept Lithography Development System)的欧洲工业研发联盟。ASML 还与包括 Philips Research and CFT、TNO-TPD、FOM-Rijnhuizen、PTB(德国国家计量研究所)和 Fraunhofer-IWS在内的其他供应商合作。ASML 和 EUCLIDES 于 1999 年与美国 EUV LLC 联手,而日本则通过其 ASET 计划(超先进电子技术协会)和后来的日本极紫外光刻发展协会 (EUVA) 计划来追求 EUV 技术的发展。


2000 年,Jos 能够在 SPIE 上展示 EUCLIDES 计划的第一个结果。看到了可能性后,ASML 在 2001 年分配了一小群人和少量资源来构建一个 EUV 原型系统。


该团队在 2006 年实现了他们的目标,当时第一批 EUV 原型被运往比利时的 imec 和纽约奥尔巴尼的 SUNY(College of Nanoscale Science & Engineering)。在那里,原型被用来更好地了解 EUV 以及这项新技术如何融入半导体制造过程。事情开始变得真实。


实现“第一道光”


2008 年春天,SUNY 使用他们的演示工具生产了世界上第一个全场 EUV 测试芯片。2009 年,ASML 在荷兰 Veldhoven 的总部开设了大楼,这些大楼将容纳10,000 平方米的洁净室和用于 EUV 开发和生产的工作空间。


然后,在 2010 年,ASML向韩国三星研究机构运送了第一台 TWINSCAN NXE:3100,这是一种预生产的 EUV 系统。这台机器在平安夜实现了“第一道光”(天文学参考)。对于Jos 说,这是 13 年辛勤工作的结晶。


一群员工站在荷兰 Veldhoven 的 ASML 总部前,庆祝 2010 年第一台 EUV 机器出货。


“我们从 1997 年就开始着手这方面的工作,”他说。“突然间,到了 2010 年,你要飞到海外去韩国。你坐长途出租车,换衣服,去工厂,拐个弯,它就在那里:机器。那是一个伟大的时刻。最初的梦想在客户的工厂中变成了现实。”


这是一个伟大的时刻,但据ASML的客户开始发布包含 EUV 技术的产品仍然还需要9 年时间。“机器基础知识在那里,但我们努力实现客户所需的生产力,以使 EUV 成为负担得起的选择,”Jos 解释说。“重要的是要记住,我们客户的研发阶段也需要时间,”Jos说,“在 EUV '推出'之后,芯片制造商仍然需要一两个节点才能使技术成熟并在商业产品之前学习如何充分使用它可以用它来建造。”


这个过程需要这么长时间的部分原因是芯片制造商必须围绕新技术优化基础设施。“除了扫描仪,您还需要优化标线片和光刻胶,以及 EDA(电子设计自动化)——用于设计芯片的软件工具,充分利用新功能的优势。这是一个不断反馈的过程,过程中每个部分的数据都会影响其他部分,”Jos 解释道。


2012 年,作为客户共同投资计划的一部分,ASML的主要客户英特尔、三星和台积电同意在五年内为其 EUV 研发做出贡献,并收购公司的股份作为回报。ASML又向亚洲和美国的不同客户交付了六套系统,并且在 2013 年,我们交付了第一台 EUV 生产系统——TWINSCAN NXE:3300——标志着这项新技术的开发又向前迈进了一步。


一路走来的技术挑战


“这比我想象的要花更长的时间,也比我想象的要多得多,”Jos表示。“回顾这个过程,你可以说我们要么很聪明,要么就是很固执,”他笑着说。


为了跃迁到 13.5 nm 的极紫外光,几乎需要在光刻机的所有领域进行创新,包括光源、将光缩小并聚焦到硅片上的光学器件,以及包含芯片蓝图的标线片被打印。更复杂的是,EUV 光几乎被所有物体吸收,因此必须在高真空环境中生产和使用。“整个切换到真空是一次冒险,”Jos回忆道。“由于outgassing,这使材料成为一项挑战——你可以使用什么材料,以及你必须如何处理和清洁它们。”


Jos 解释说:“当蔡司证明它可以制造专门的 EUV 光学器件时,我们的研究真正获得了关注。”光学一直是 EUV 最大的预期挑战,但多亏了蔡司,它很快就从关键问题列表中掉了下来。


随后,ASML 的重点转向解决“光源”挑战。“由于光源问题,我们遭到了很多挑战,”Jos承认。“多个客户会参考我们的光源路线图并说,这是您多年前承诺的,而现实远非如此。他们在 SPIE 几乎把我们钉在了十字架上。”


在 2000 年代初期,ASML 开始与荷兰、日本和美国的几家 EUV光源的潜在供应商合作,其中包括总部位于圣地亚哥的 Cymer。ASML 选择了 Cymer 的解决方案,后来在 2013 年收购了该公司。但研发比预期的要困难得多。设计激光产生的等离子体 (LPP) 源涉及以每秒 50,000 次(两次)撞击锡液滴以使它们汽化,从而产生比太阳表面温度高 40 倍的等离子体以发射 EUV 光。


获得250W光源


“我们实际上取得了一些早期的成功,事情看起来很有希望,我们认为这可能并不像我们预期的那么难!” Danny Brown(EUV 光源系统工程与研究负责人)说。“但男孩,我们错了。” 因为主要挑战是在全尺寸设备中实现 250 W 的功率。尽管遇到了许多挫折,但致力于它的团队最终还是成功了,并于2021 年 9 月获得了 Berthold Leibinger 创新奖。


EUV 将继续存在


在我们达到 250 W 的源功率(这使工厂每小时可生产 125 片晶圆)并将其工业化后,隧道的尽头就在眼前。根据 Jos 的说法,我们最终觉得我们的 EUV 计划取得了成功是在 2018 年,当时我们的客户开始在他们的晶圆厂中大力投资新技术。“他们花费数十亿美元建造晶圆厂并购买我们的机器,这表明他们真的相信 EUV。”


ASML在2020年出货其第100台EUV光刻机


2019 年,第一款支持 EUV 的商业产品发布(三星的 Galaxy Note10 和 Galaxy Note10+ 智能手机)。2020 年 12 月,ASML 庆祝了第 100 台 EUV 系统出货,截至 2021 年底,127 台最新一代 EUV 机器在全球客户所在地使用。“这花了很长时间,但 EUV 正在接管逻辑和 DRAM 内存的关键层,”Jos解释说,“我职业生涯中最好的时刻是在 2019 年的一次研讨会上——我拿出了我的三星智能手机并说:“我曾经天真地说EUV将在2006年量产。我可耻地承认已经晚了13年。”但随后我挥舞着手机说,“但你们中的一些人认为这东西永远不会存在。” ”


下一步是什么?EUV 0.55(高数值孔径)及以上


为了实现更小的芯片特性,我们不断创新,现在正在将我们的 EUV 机器的数值孔径 (NA) 从 0.33 增加到 0.55,这意味着新系统中的光学器件将允许具有更大入射角的光击中晶圆,为系统提供更高的分辨率并减小可打印特征的尺寸。Jos 解释说:“因为这种新镜头根本不同,这意味着我们必须想出一种全新的方法来制造它并测量它的特性。“我们在蔡司和威尔顿的团队正在打破一项又一项世界纪录。” 用于研发目的的首批 EUV 0.55“EXE”机器计划于 2023 年底交付给客户,我们预计它们将在 2025 年用于大批量制造。


但在 2022 年,我们最大的挑战是将 EUV 系统的产量从每年 40 个提高到 60 个左右。根据我们 EUV 工厂的副总裁 Sheila Leenders 的说法,雇用更多的人并建造更多的洁净室空间只是问题的一部分——困难的症结在于提高我们供应商网络的产能以满足对 EUV 机器的需求。“我们的供应基地正面临一生的挑战,”她说。“我们正在努力帮助他们在全球供应商需要的地方提高产能并提高可靠性。”


通过合作克服挑战


多年来,ASML 克服了许多挫折、意想不到的挑战和延误。但是Jos说,每当他们看到一个新的挑战迫在眉睫时,他们总是有如何应对它的想法。“通过我们值得信赖的合作伙伴网络,我们总能找到解决问题的方法,”Jos说。


“我们处理任何技术的方式都是通过协作网络工作,”他继续说道。“这使我们能够利用可用的知识并以‘可接受的’风险状况取得进展。”


在 EUV 技术开发的所有阶段——研究、工业化和现在的大批量制造——跨境合作一直是关键。今天,我们从美国采购了一些关键的 EUV 模块,例如用于光源的液滴发生器以及传感器、分划板处理器和平台,以及来自德国的其他模块,例如我们的蔡司光学器件和通快用于 EUV 源的激光器,我们与世界各地的公司和机构合作,继续研发下一代 EUV 机器。作为事物中心的系统架构师,ASML 成功地建立和动员了一个全球生态系统,以将许多人认为不可能的技术工业化。我们现在满怀信心地将其用于大批量生产。

★ 点击文末【阅读原文】,可查看本文原文链接!

*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。


今天是《半导体行业观察》为您分享的第3186内容,欢迎关注。

推荐阅读


从三星路线图看DRAM发展新动向

英特尔论文,揭露UCIe技术细节

中国汽车芯片,迎激变大时代


半导体行业观察

半导体第一垂直媒体

实时 专业 原创 深度


识别二维码,回复下方关键词,阅读更多

晶圆|集成电路|设备|汽车芯片|存储|台积电|AI|封装

回复 投稿,看《如何成为“半导体行业观察”的一员 》

回复 搜索,还能轻松找到其他你感兴趣的文章!


点击阅读原文,可查看本文
原文链接!


微信扫码关注该文公众号作者

戳这里提交新闻线索和高质量文章给我们。
相关阅读
ASML:美国新禁令不会让光刻机出口更严格,对2023年影响十分有限美国实现0.7nm芯片?绕开EUV光刻机?提高数值孔径是EUV光刻技术目标之一EUV光刻,最终胜出!缅怀:《新时期我国信息技术产业的发展》对EUV光刻机的论述天堂到了,去天堂的准备下车!月光你相信光吗?绕开EUV光刻机,国产光芯片来了!EUV光刻机研发的幕后功臣!替代EUV光刻机,有戏吗?国内厂商芯片新技术绕过EUV光刻机,震惊欧美教育随笔(106)高考文言文备考之七荷兰光刻机巨头ASML增势不减,第三季度净赚17亿欧元小鹏汽车调整组织架构;俄称 2028 年推出 7nm 光刻机;除中国区外,App Store 将增加广告 | 极客早知道68岁汪健再敲钟,打造生命科学领域的国产“光刻机”俄罗斯研究所誓言,六年后造出7nm光刻机国内唯一光刻机制造商落后15年,自研光刻机可能吗?俄称自研光刻机将于2028年问世,可产出7nm芯片!​佳能21年来首次新建光刻机工厂,欲将产能翻倍突然!荷兰光刻机巨头表态佳能:我来给中国提供光刻机光刻机巨头要求美国员工拒为中国客户提供服务;“不可能打工”当事人公司被强制执行39万余元......|酷玩日爆为什么荷兰ASML敢拒绝美国禁令,对华出口DUV光刻机?EUV光刻机迎末路?ASML都不看好了ASML进一步提升产能:90台EUV,600台DUV,20台High NAEUV光刻机何去何从?石墨烯芯片可绕过光刻机是不是“谎言”?中国共产党代表董必武签了字的微软裁员近千人,大幅放缓招聘;无需EUV光刻机,我国首条光子芯片产线即将建成;罗永浩或将开启淘宝双11直播丨雷峰早报国产光刻机往事:难,真的是难……成天说要打台湾,你打个金门我看看光刻机折叠:半导体公司赚的多,跌的更多连续参展进博会,光刻机巨头:支持中国增长需求突发!美国造出0.7nm芯片,不是EUV光刻机造的!除了卖光刻机,ASML在国内还做了什么?
logo
联系我们隐私协议©2024 redian.news
Redian新闻
Redian.news刊载任何文章,不代表同意其说法或描述,仅为提供更多信息,也不构成任何建议。文章信息的合法性及真实性由其作者负责,与Redian.news及其运营公司无关。欢迎投稿,如发现稿件侵权,或作者不愿在本网发表文章,请版权拥有者通知本网处理。