Redian新闻
>
台积电能稳居晶圆代工龙头吗?

台积电能稳居晶圆代工龙头吗?

公众号新闻

来源:内容由半导体行业观察(IDicbank)编译自semiengineering,谢谢。

数十亿美元的代工领导力竞争正变得越来越复杂和复杂,因为需要权衡的因素太多,因此很难随时确定哪家公司处于领先地位。


这在很大程度上反映了前沿客户群的变化以及对特定领域设计的推动。过去,Apple、Google、Amazon 和 Meta 等公司购买了最快的商用处理器。但在过去五年中,这些系统公司一直在招聘半导体硬件和软件工程师团队,为特定数据类型定制架构,以大大超过通过扩展获得的性能和功率。


这并没有阻止台积电、三星和英特尔继续缩小功能,他们的路线图很好地扩展到了 1.x 纳米范围。但它改变了他们竞争的动力。领导力不再仅仅与流程有关。下一代技术现在包括从新型晶体管、互连材料和结构到电力传输方案的一切。在某些情况下,可能需要灵活性,无论是硬件或软件可编程性,还是在大批量应用中创建衍生设计的更简单方法。在其他情况下,可能是一个标线大小的芯片上可以安装多少晶体管的问题。


尽管成本上升并且功耗和性能优势降低,但工艺扩展仍然很重要。尽管如此,并非每个应用都需要它,它只是决定市场领先地位的越来越多的因素之一。事实上,在任何时候选择哪家公司处于领先地位可能需要一份产品电子表格,而不仅仅是一个制程。对一个客户重要的东西,或对该客户的特定设计,可能与对另一个客户重要的东西大不相同。


台积电业务发展高级副总裁Kevin Zhang表示:“有很多问题需要解决,比如如何在系统层面进行设计,如何将所有内容分区并将它们整合在一起。” “但这些也代表了一个机会。整个行业都需要想办法把事情做得更好。我们必须在未来重新考虑系统设计,以及如何最好地划分这些东西。在未来,您会看到系统级方法变得越来越重要,而不是单个芯片级。这从软件和软件架构一直向下延伸。你可能会看到越来越多的重要参与者成为半导体客户。”


imec 3D 系统集成项目的高级研究员兼主任 Eric Beyne 指出了类似的变化。“如果你看看边缘,你需要速度、低功耗和标准类型的连接,”他说。“大多数人都能做到这一点。但是你有 AMD、英特尔、谷歌等公司,他们会希望它比隔壁商店的香草味好一点。他们想要一个经过调整的版本,或者一个不需要所有花里胡哨的界面,因为他们可以做不同的事情。”


例如,在 AI 训练应用中,目标是将尽可能多的计算元素(通常是同质的)塞到一块硅片上。相比之下,在智能手机中,图像处理等功能需要更多逻辑,但并非所有逻辑都需要封装在同一个芯片上。在AR/VR 眼镜等应用中,热限制和性能要求非常苛刻,并且因用例而异,因此公司正在试验各种不同的架构,从平面芯片到具有复杂热管理的 3D-IC 架构。


简而言之,一种尺寸不再适合所有人,这正在从根本上改变代工业务的动态。UMC 和 GlobalFoundries 退出了 14nm 的扩展竞赛(尽管 GF 已经转向 12nm),转而专注于汽车和 5G 等各种专业市场。从那时起,两者都在满负荷运行并计划增加更多,并且在 EDA 和制造设备公司的帮助下,他们正在扩展成熟节点上可以做的事情。


“设备供应商非常投入,”格罗方德技术与研究高级副总裁 Gregg Bartlett 说。“Applied Materials 创建了其 ICAPS(物联网、通信、汽车、电源和传感器)业务部门,专注于与个位数纳米无关的技术,无论是宽带隙材料、复合半导体或相关的工具能力用于 CMOS 图像传感器。他们在离子注入机中需要极低的金属含量。高级逻辑并不关心这一点。所以有一些工具能力要求摩尔定律缩放不下降,它们已经成为新的要求。对于其他四分之三的市场需求,有一个完整的路线图。”


即使在前沿节点,流程也变得不同,难以比较。其中一些取决于终端市场。三星和台积电继续在消费电子产品和个人电脑上展开竞争。与此同时,英特尔继续专注于服务器芯片,通常与台积电竞争,但它也越来越多地为军用/航空应用开发高级节点芯片。他们都进入了其他市场,随着客户需要更多定制的解决方案,这些市场继续分裂。


因此,每个代工厂都在打入自己的核心市场,同时在预算和机会允许的情况下扩展到其他市场。三星正转向3nm的全栅极 FET,而台积电和英特尔计划坚持 3nm 的finFET 并转向 2nm 的 GAA FET。他们都在每个节点上开发专门的流程,以及大量的半节点。


这在很大程度上反映了无晶圆系统公司财力雄厚的需求,他们希望使用最少的功率来最大化性能。在这个世界上,成本需要在一个系统或多个系统的系统的背景下考虑。冷却更少的服务器机架可以在更小的空间内进行更多的处理,而且速度更快,这使得在最先进的节点上从头开始设计芯片更容易接受。对于为预定义插座购买芯片的公司来说,情况就完全不同了。


因此,谷歌的服务器处理架构看起来与特斯拉的非常不同。虽然每个都可能包含 5nm 或 3nm 逻辑,但它们针对不同的数据类型、不同的内存和 I/O 配置以及处理数据的方式和位置、需要保留的数量以及存储位置的不同优先级进行了定制。


在这种情况下,工艺技术和晶体管类型仍然很重要,但它们不一定是芯片运行速度更快或功耗更低的决定因素。事实上,使用下一代晶体管技术首先到达最先进的节点不再是保证成功的公式。因此,虽然历史上一直在密度的背景下看待流程领导,但越来越多地这只是日益多样化的集合中的一个组成部分先进封装中的芯片或chiplet。仅仅因为芯片使用 3nm 工艺并不能确保它在特定应用中以更低的功耗运行得比 5nm 逻辑芯片更快,后者可能与神经处理单元、CPU 和 GPU 封装在一起。此外,如果需要更新或算法发生变化并且没有内置的可编程性,它可能会随着时间的推移而表现不佳。


这在不同代工厂的路线图中很明显。尽管有一些相似之处,但也存在明显的差异,并且这些差异可能会随着时间的推移而扩大。


Samsung


Samsung Foundry 预计将在今年晚些时候或明年推出其 SF3E (3nm) 工艺技术,该技术基于一种称为 MBCFET 的环栅晶体管,速度提高 23%,功耗降低 45%。三星将率先推出 GAA FET,它在最先进的节点上比 finFET 更好地控制电流泄漏——基本上能够完全关闭晶体管,而不是看着电池在关闭时慢慢耗尽。该公司还将为移动市场增加其SF4E、4、4P。预计明年某个时候的 4P 将使用 4nm 工艺和新的中线技术提供 1.19 倍的性能提升。


SF3 和 SF3P 将于明年推出,SF2 预计将在 2024 年推出,SF1.4 将在 2026-2027 年的某个时间推出。


此外,三星将通过其 Cube S(基于混合硅中介层的 2.5D 版本)以及混合 BGA 和 TCP BGA 版本来加强其封装选项。其 3D-IC X-Cube 将于 2024 年使用微凸块上市,两年后将推出无凸块版本,可能使用混合键合或其他一些用于连接它们的高速、低电阻材料。


图 1:高速、低电阻率互连和更短的距离可以显着提高性能并降低功耗和热量。资料来源:三星


最先进节点的最大问题之一是热量。GAA FET 将对此有所帮助,但提高芯片利用率和更高的动态功率密度可以在垂直结构之间捕获热量。一般来说,有两种方法可以解决这个问题。一种方法是对其进行物理冷却,使用散热器或某种形式的热传递到液体中,或在内部使用微流体。二是降低个别零件的阈值电压。


三星电子产品规划副总裁 Indong Kim 表示:“如果你可以通过更低的阈值电压降低功耗,那么你就可以降低限制如此多性能的功耗。”


一个相关的挑战是内存需要最低电压才能正常工作,因此需要升高和降低电压才能使其正常工作。三星的优势在于制造自己的内存——DRAM(包括 HBM)、NAND、SRAM、STT-MRAM——因此它有能力在内部进行试验。它甚至开发了内存计算能力。这很重要,因为降低电压会增加对各种类型噪声的敏感性,而在构建高级芯片时,所有这些都需要考虑。三星内存销售执行副总裁 Jim Elliott 表示,通过在 DRAM 中使用 finFET,可以将功率扩展到 0.9 伏以下。


三星还在开发各种桥接技术,包括嵌入式桥接器,以及所谓的“RDL 中介层”。该公司还预计在 2025 年增加背面供电,这将有助于缓解芯片内部的拥塞。使这种方法具有吸引力的原因在于,在极其密集的 3D 晶体管结构海洋中减少了拥塞,并且专注于在重新分配层中做更多事情,过去在很大程度上被视为机械基础。


三星可能会在其各种产品线中使用其内部开发的许多芯片,这些产品线现在包括汽车、移动/消费、物联网和 HPC/AI。“这是一个代工整体设计平台,”三星执行副总裁 Moonsoo Kang 说。


台积电


尽管 GAA FET 提供的泄漏减少,台积电认为 N3 有足够的好处,因此将该技术的引入推迟到 N2 之前。该公司研发高级副总裁 Yuh Jier Mii 表示,N3 将在与 N5 相同的功耗下提供 18% 的性能提升,或在相同性能下降低 34% 的功耗。在 N2 时,当引入纳米片时,性能将提高 10% 至 15%,或功率降低 25% 至 30%。


Mii 还指出了纳米片的后续技术,一种complementary FET,这可能是所有三个主要代工厂都将采用的路径。目前尚不清楚具体何时会发生这种情况,或者是否会有由imec开发的临时技术,称为forksheet FET。


Mii 表示,台积电一直在试验新型材料和晶体管,包括碳纳米管 FET,它们将提供比其他晶体管类型高 1.5 倍至 2 倍的缩放密度。新的低电阻材料可以将电阻降低 40%,这将显着提高性能,减少驱动信号所需的功率,并显着降低热量。“更低的电阻率有可能通过增强的互连性能进一步扩展,”他说。


在封装方面,台积电已经凭借其集成扇出 (InFO) 技术进行大批量生产,并正在与客户合作开发基于其基板上晶圆上芯片 (CoWoS) 的 3D-IC使用微凸块以及有机和硅中介层的技术。


该公司还开发了一种高密度硅桥,并正在开发一种称为系统级芯片 (SoIC) 的前端封装技术,该技术利用水平和垂直空间将chiplet嵌入芯片中.


英特尔


与台积电一样,英特尔将在 2024 年将 finFET 推向更多节点,并计划切换到 2nm 的纳米片,或称为 20A(20 埃等于 2nm)。英特尔的 GAA FET 被称为 RibbonFET。它还计划在 2025 年增加 18A 的背面供电,称为 PowerVia。


尽管英特尔计划在先进工艺节点上实现同等地位或领先地位,但该公司对chiplet的推动及其在开发chiplet以及使用其嵌入式多芯片互连桥 (EMIB) 技术将它们连接在一起的经验值得注意。该公司还开发了名为 Foveros 的芯片对芯片堆叠技术,它是 EMIB 的 3D 版本。


英特尔基本上已经为客户定制设备创建了一个盒子,能够根据客户需求交换不同的组件,并且它在 2015 年收购 Altera 提供了所需的灵活性,可以随着算法和协议的变化而延长这些异构解决方案的寿命. 它在今年早些时候收购 Tower Semiconductor 的决定增加了一套可以捆绑到这些封装中的专业和成熟节点功能。


并且公司在代工服务方面投入巨资,帮助客户开发定制化解决方案。


英特尔副总裁兼产品和设计生态系统支持总经理 Rahul Goyal 表示:“对于内部制造,我们真的在努力重新回到制程技术的领先地位,我们将在未来四年内生产多个节点。” “二是外部制造。我们是一家产品公司,因此我们将使用最适合产品线和要制造的产品的任何东西。因此,作为一家外部代工厂,我们将实现这一目标。我们也在前沿做更多的事情。我们也在从头开始建设我们的铸造厂。最后一个化身是英特尔定制代工厂。这次我们特意将其称为英特尔代工服务,因为我们是一家服务企业。”


英特尔还通过芯片法案从美国政府获得了一些帮助,该法案使其能够在俄亥俄州等地建立晶圆厂和受过教育的劳动力,并与美国军事、航空航天和政府 (USMAG) 达成协议联盟以最先进的工艺技术实现芯片设计和生产。英特尔是三巨头中唯一一家位于美国的领先代工厂,它将从地缘政治冲突和政府投资中受益。


可靠性


尽管有这些非常昂贵的先进制造和封装技术,但人们对可靠性的担忧正在上升。它现在依赖于一个变量电子表格,从可以产生无声数据错误的制造缺陷到热点。


“过去,人们认为热量会从这些炎热区域传播到寒冷区域,这样你的芯片就会得到均匀的功率分布,然后你可以从外部均匀地冷却它,”imec 的 Beyne 说。“不幸的是,如果你等到热浪蔓延,温度已经太高了。所以你必须增加冷却,随着冷却的增加,你可以定位这些热点。相邻的硅片对你没有任何帮助。它必须垂直。因此,您必须采用更直接的冷却解决方案。”


制造过程现在是巨大的材料科学挑战。一些材料需要溶解或融化,而另一些材料需要保持完整,所有这些都需要在同一个工艺步骤中进行,以确保晶圆厂有足够的产量。


Brewer Science的首席开发官 Kim Arnold 说:“这已经足够大了,我们不得不创建与清洁和缺陷测试相关的新程序。” “这些材料需要能够承受 400°C 及更高的高温稳定性。一旦你让它在类似的东西或刺激性化学物质中存活下来,其中一些就更难以以合理的方式去除。这催生了几年前我们从未真正预料到的其他计划活动。”


结论


为了正确看待这一点,曾经是一个相当简单的指标——谁可以最快地迁移到下一个流程节点——已经变成了一组复杂的、更大的指标,可能在一个应用程序之间有很大的不同。在某些情况下,这可能是一个简单的问题,即哪个代工厂在任何时间点都有足够的产能进入市场窗口,而在另一些情况下,它可能涉及一组复杂的任务和没有先例的材料组合。并且仅仅因为一个代工厂引入了 3nm 或 2nm 工艺,并不意味着它与另一个代工厂相同。


虽然缩放仍然很重要,但它可能仅适用于高级封装中包含的一个或多个小型逻辑芯片,其中真正的优势是集成所有各种部件所需的专业知识,或封装本身的设计。哪个代工厂可以为特定应用程序或用例构建最好的分解 SoC 变得越来越难确定何时各个部件不对齐,但所有最重要的框都被选中。性能和功率正在成为依赖于应用程序的属性,有时甚至被狭义地定义为单个客户的特定配置。

★ 点击文末【阅读原文】,可查看本文原文链接!


*免责声明:本文由作者原创。文章内容系作者个人观点,半导体行业观察转载仅为了传达一种不同的观点,不代表半导体行业观察对该观点赞同或支持,如果有任何异议,欢迎联系半导体行业观察。


今天是《半导体行业观察》为您分享的第3210内容,欢迎关注。

推荐阅读


“铁打”的半导体周期,“流水”的芯片公司

国产模拟芯片,走向深水区!

芯片如何领先?美国计划这样做!


半导体行业观察

半导体第一垂直媒体

实时 专业 原创 深度


识别二维码,回复下方关键词,阅读更多

晶圆|集成电路|设备|汽车芯片|存储|台积电|AI|封装

回复 投稿,看《如何成为“半导体行业观察”的一员 》

回复 搜索,还能轻松找到其他你感兴趣的文章!


点击阅读原文,可查看本文
原文链接!


微信扫码关注该文公众号作者

戳这里提交新闻线索和高质量文章给我们。
相关阅读
英特尔 CEO:愿意在自家晶圆厂为 AMD / 英伟达代工说走就走,坐老旧火车旅行(三十)台积电 CEO:每个人都想建晶圆厂,这不现实!虽在异乡非异客 且把他乡作故乡 中中国电能2023校招进行中,五险一金加企业年金·多专业可投·即刻扫码投递超越三星,台积电跃居半导体销售龙头搬家去美国!台积电变“美积电”,伤害了谁?台积电400亿美元“投资”美国,将新建3纳米晶圆厂!拜登在迁机仪式称“美国制造业回归”,台民众哀叹“整个搬走、连门都不留”美国最大晶圆代工厂宣布裁员买入台积电的是巴菲特吗?引进台积电,日本半导体产业还能复兴吗?中国大陆晶圆代工三强,即将会师科创板英特尔成立晶圆代工新联盟:为国护航台积电加大对美投资建厂,半导体关键技术会外流吗?欧洲晶圆代工厂:未来三年产能已售罄特朗普又要竞选了?小女儿蒂芙尼也结婚了?他的地位还能稳住吗?免洗喷雾真的能让你不用洗头吗?| 30s 知识点日本人的和栗情结晶圆厂最新排名:台积电遥遥领先三星初创新型完整工业要有企业规则And和But不能放在句子开头吗?台积电的忠与谋:台积电或成“美积电”?低度小甜酒“降温”,它还能让年轻人上头吗?深度| 台积电3nm晶圆厂在美国正式启动的历史意义晶圆代工厂商发力成熟制程,透露什么信号?台积电正沦为“美积电”? 谁在“掏空”台湾?英特尔晶圆代工又有新动作......重磅,苹果确认在美国晶圆厂代工芯片!顺丰/德勤/五大行/阿里巴巴/奥迪/爱马仕/中国电能……即将截止投递(更新至1008)大摩:全面看空晶圆代工随地吐痰与老海归台积电与中芯国际最终命运,巴菲特购买台积电股权之谜韩手机大厂开第一枪,晶圆代工厂订单面临“重组”潮晶圆代工厂,瞄准新赛道晶圆代工,成熟制程大降价
logo
联系我们隐私协议©2024 redian.news
Redian新闻
Redian.news刊载任何文章,不代表同意其说法或描述,仅为提供更多信息,也不构成任何建议。文章信息的合法性及真实性由其作者负责,与Redian.news及其运营公司无关。欢迎投稿,如发现稿件侵权,或作者不愿在本网发表文章,请版权拥有者通知本网处理。